全球首家!三星宣布量产3nmGAA制程 台积电成首批客户
首页 > 科技 > 正文

全球首家!三星宣布量产3nmGAA制程 台积电成首批客户

来源:快科技 2022-07-01 10:49:40

6月30日,正如之前外界传闻的那样,三星电子今天正式对外宣布,其已开始大规模生产基于3nm GAA(Gate-all-around,环绕栅极)制程工艺技术的芯片,这也使得三星抢先台积电成为了全球首家量产3nm的晶圆代工企业。

三星量产3nm GAA制程,上海磐矽为首批客户

2021年6月,三星就率先宣布其基于GAA技术的3nm制程成已成功流片(Tape Out)。随后在2021年10月的“Samsung Foundry Forum 2021”活动上,三星宣布将在2022年上半年抢先台积电量产3nm GAA制程工艺。

对于在3nm量产上超越台积电,三星方面也是信心满满。三星Device Solution事业部技术负责人Jeong Eun-seung去年就曾表示:“三星2017年才成立晶圆代工事业部,但凭借公司在存储制造方面的专长,超越台积电指日可待。”

他还举例指出,三星曾领先台积电开量产采用FinFET技术的14nm工艺。

虽然之前业界有很多关于三星3nm良率过低而导致量产遇阻的传闻(今年年初有爆料称,三星3nm GAA制程的良率仅10%~20%),但是三星仍然是在2022年上半年的最后一天(6月30日)正式宣布成功量产3nm GAA工艺,以兑现其之前的承诺。

根据三星官方公布的声明显示,基于其第一代的3nm GAA工艺的芯片与传统的5nm工艺芯片相比,功耗降低了45%,能提高了23%,面积可减少16%。

不过,以上公布的数据与三星之前透露的数据(能将提升30%,能耗降可低50%,逻辑面积效率提升超过45%)有一定程度的缩水。

需要强调的是,除了抢先量产3nm制程工艺之外,三星也是全球第一个成功将GAA技术应用到量产的3nm芯片当中的晶圆代工厂商。

虽然台积电将会在今年下半年量产3nm工艺,但是其依然是基于FinFET晶体管架构,台积电将会在2nm制程工艺上才会采用GAA技术,量产时间则将会是在2025年。

三星晶圆代工业务主管Siyoung Choi表示:“公司将继续在有竞争力的技术开发方面积极创新。”

中国矿机芯片厂商上海磐矽或为首批客户

虽然三星并未公布其3nm GAA制程的客户名单,但是根据爆料显示,三星电子自身以及一家来自中国大陆的矿机芯片厂商——上海磐矽半导体技术有限公司将会是首批客户。另外,三星的大客户高通可能也下单生产3nm芯片,但会视情况投片。

资料显示,上海磐矽半导体技术有限公司成立于2016年3月,注册资本4500万元人民,总部位于上海,是一家设计能力为28nm、16nm和10nm ASIC的高科技初创公司,专注于数字加密货和Al应用的ASIC设计。

三星联合首席执行官 Kyung Kye-hyun 今年早些时候曾表示,其代工业务将在中国寻找新客户,预计中国市场将实现高速增长,因为从汽车制造商到家电产品制造商等公司都争相确保产能以解决持续的全球芯片短缺问题。

是“真量产”,还是“真宣传”?

虽然三星抢先台积电量产了3nm GAA制程工艺,但是其为了兑现今年上半年量产承诺,在上半年的最后一天6月30日才宣布量产,也让一些分析人士认为,3nm GAA制程工艺量产是“赶鸭子上架”,宣传意义大于实际意义。

比如台湾工研院产科国际所研究总监杨瑞临就表示,GAA相关的蚀刻及量测问题尚待克服,材料、化学品等也需要提升,全球GAA生态系统还未完全到位,三星3nm GAA技术此时量产是“赶鸭子上架”。

ASML的新一代High-NA EUV光刻机预计最快也要2023年底才正式向客户交付。台积电和英特尔都选择采用High-NA EUV光刻机来生产基于GGA架构晶体管的2nm工艺。

杨瑞临称,虽然三星采用市场现有方案,可以做到3nm GAA技术量产,但关键是成本会增加、交期会拉长、良率提升速度慢、品质不见得好。在成本模型难以建立的情况下,三星难以对客户报价,预料三星的3nm GAA技术应仅自用,不会有真正的外部的客户。

台经院产经资料库研究员暨总监刘佩真也表示,三星仍未实际接获3nm订单,今天宣布量产3nm制程,宣传意义应大于实质意义。

而在此之前,在相同制程工艺节点上,三星的制程工艺在稳定、良率、发热等方面的表现确实一直都要比台积电差。此前高通就因为三星4nm代工的骁龙8的散热问题,转向了采用台积电4nm代工骁龙8+。

不过,台湾相关机构的立场和观点往往都会偏向本土的台积电,看衰三星3nm GAA也属正常。

同样,韩国半导体分析师的观点也往往是看好本土的三星。此前,韩国半导体分析师Greg Roh就表示三星3nm制程良率提升速度远高于市场预期,新增客户速度相当快。

GAA架构晶体管到底有何优势?

传统的面晶体管(Planar FET)通过降低电压来节省功耗,然而,面晶体管的短沟道效应限制了电压的继续降低,而FinFET(鳍式场效应晶体管)的出现使得电压得以再次降低,但随着工艺的继续推进,FinFET已经不足以满足需求。于是,GAA(Gate-all-around,环绕栅极)技术应运而生。

如下图,典型的GAA形式——GAAFET是(Gate-all-around FETs)采用的是纳米线沟道设计,沟道整个外轮廓都被栅极完全包裹,代表栅极对沟道的控制更好。

相比之下,传统的FinFET 沟道仅3 面被栅极包围。GAAFET 架构的晶体管提供比FinFET 更好的静电特,可满足某些栅极宽度的需求。这主要表现在同等尺寸结构下,GAA 的沟道控制能力强化,尺寸可以进一步微缩。

不过,三星认为采用纳米线沟道设计不仅复杂,且付出的成本可能也大于收益。因此,三星设计了一种全新的GAA形式——MBCFET(Multi-Bridge-Channel FET,多桥-通道场效应管),采用多层堆叠的纳米片来替代GAAFET中的纳米线。

这种纳米片设计已被研究机构IMEC当作FinFET 架构后续产品进行大量研究,并由IBM 与三星和格罗方德合作发展。

三星表示,MBCFET可以在保留所有GAAFET优点的情况下,最小化复杂度。同时,MBCFET的设计可以兼容之前的FinFET技术,可以直接将为FinFET的设计迁移到MBCFET上,在不提升面积的情况下,提升能。

此外,在制造环节,此技术也具高度可制造,因为其利用约90% FinFET 制造技术与设备,只需少量修改的光罩即可。

三星在去年就曾对外表示,MBCFET出色的栅极可控,比三星原本FinFET 技术高出了31%,且纳米片通道宽度可直接图像化改变,设计更有灵活

三星的3nm GAA(MBCFET)工艺分为3GAAE (3nm Gate- AlI-Around Early)和3GAAP(3nm Gate- AlI-Around Plus)两个阶段。目前量产的正是3GAAE。

需要指出的是,三星基于GAA技术的3nm制程不同于台积电FinFET架构的3nm制程,所以三星要成功量产3nm GAA制程工艺,也需要新的设计和认证工具。

据了解,三星3nm GAA制程工艺采用了新思科技的Fusion Design Platform台,来为其GAA 架构的生产流程提供高度优化参考方法。针对三星3nm GAA制程技术的物理设计套件(PDK)早在2019 年5 月就已发布,并在2020年通过了制程技术认证。

新思科技数字设计部总经理Shankar Krishnamoorthy当时曾表示,GAA 晶体管结构象征着制程技术进步的关键转换点,对保持下一波超大规模创新所需的策略至关重要。新思科技与三星战略合作支持提供一流技术和解决方案,确保发展趋势延续,以及为半导体产业提供机会。

台积电与英特尔将在2nm引入GAA技术

一直以来,为了追赶台积电,三星在先进制程的推进上一直都比较激进。相比之下,作为业界龙头的台积电则一直比较稳健。

台积电在3nm制程工艺上并没有选择GAA架构的晶体管,而是依然采用FinFET(鳍式场效应晶体管),因为这将会为台积电带来更好的稳定,即可以复用之前成熟稳定的技术,同时成本可能也能够得到更好的控制。并且给台积电预留更多的对GAA晶体管架构优化的时间。

根据台积电此前2022年台积电技术论坛上公布的数据显示,其即将量产的依然采用FinFET晶体管架构的N3E(3nm的低成本版)制程工艺,相比前代的5mm制程工艺,能将提升18%,功耗可降低34%,晶体管密度可提升30%。

从这个数据来看,三星3nm GAA制程工艺的与其前代5nm制程工艺相比所带来的能提升幅度和功耗降低的幅度都要比台积电(3nm VS. 5nm)更高。

而且,需要指出的是,三星3nm GAA制程工艺的晶体管密度只比其前代5nm制程工艺的晶体管密度仅提升了16%(面积可减少16%)。而台积电3nm的晶体管密度相比其5nm则是提升了30%。也就是说三星3nm GAA制程工艺依靠更少的晶体管密度的提升,达到了更好的能提升和功耗降低的幅度,显然,这与全新的GAA架构所带来的提升直接相关。

我们从台积电公布的其2nm GAA制程工艺与其3nm的对比数据,也同样能够看到GAA晶体管架构所带来的直接提升。

台积电第一代采用纳米片晶体管(Nanosheet,就是GAA)架构的N2(即2nm)制程相较于其N3E(3nm的低成本版)工艺,在相同功耗下,能将提升10~15%;而在相同能下,台积电2nm工艺的功耗将降低23~30%;晶体管密度仅提升了10%。

也就是说台积电2nm GAA制程工艺仅用了10%的晶体管密度的提升,就带来了最多15%的能提升、最多30%的功耗的降低。已经基本达到了台积电3nm相比5nm的代际提升的幅度,而且后者还是在晶体管密度提升了30%的情况下实现的。这也再度反应了GAA晶体管架构所能够带来的提升。

与台积电一样,英特尔也选择了在Intel 3(相当于台积电3nm制程工艺)继续采用FinFET技术,预计在2023年量产,相比Intel 4可带来每瓦能上约18%的提升。直到Intel 20A(相当于台积电2nm制程工艺)英特尔才会使用RibbonFET(即GAA)技术,预计将领先台积电在2024年上半年量产,但是具体的细节参数并未公布。

在GAA专利技术布局方面,之前的一份数据显示,2011~2020年期间,全球有31.4%的GAA专利来自台积电,20.6%来自三星。

先进制程晶圆代工市场的竞争正在加剧

一直以来,三星都希望能够在晶圆代工业务上超越台积电,其中对于先进制程的争夺更是成为了双方的焦点。抢先量产更为先进的半导体制程工艺,不仅能够体现自身的技术实力,同时也意味着三星能够为客户更快的生产更先进的芯片,帮助客户更快的在市场竞争当中占据有利的地位。这也使得三星能够凭借抢先量产更先进的制程工艺抢到更多的客户。

比如在2014年底,三星就抢先台积电量产了14nm工艺,而台积电16nm在2015年年中才开始量产。制程工艺上的领先,也使得三星在当时顺利拿下了苹果iPhone 6S系列所采用的苹果A9处理器的超过半数订单。

但是,随后不论是从用户的体验,还是专业的机构的测试都显示,基于三星14nm工艺A9处理器的iPhone 6S在体验与续航表现上都要弱于台积电16nm工艺A处理器的iPhone 6S。

而且在此之后,台积电在10nm-7nm-5nm的量产上均持续领先于三星,这也使得苹果从后续的A10处理器开始全部都交由台积电独家代工。

资料显示,苹果年来一直是台积电的第一大客户,特别是随着苹果M系列处理器成功,给台积电带来的营收也进一步大幅增长。在台积电2021年总营收当中,来自苹果的营收占比或将达到26%。

根据TrendForce的数据显示,在今年一季度的晶圆代工市场,台积电的市场份额高达53.6%,而排名第二的三星的市场份额仅有16.3%,份额差距巨大。

因此,对于三星来说,只在最先进的制程工艺技术上领先台积电,才有机会获得苹果这样的头部客户的订单,才有机会在晶圆代工市场获得更高的市场份额,从而实现超越台积电的目标。

而为了实现对台积电的超越,三星在2017年成立了独立的晶圆代工事业部,对于晶圆代工业务的重视程度也提升到了一个新高度,同时也开始持续加大投入。根据此前的资料显示,三星计划在2030年之前投资133万亿韩元(约合1160亿美元),以期成为全球最大的半导体代工企业。

与此同时,台积电去年也宣布在未来三年累计资本开支提升到1000亿美元。

在三星积极追赶台积电的同时,市场也迎来了新的“搅局者”——英特尔。

去年3月,英特尔新任CEO基辛格宣布了IDM 2.0战略,其中关键的一项举措就是重启晶圆代工业务,英特尔还陆续宣布了庞大的产能扩张计划,以及激进的制程工艺路线图。

首先,在产能方面,自去年以来,英特尔陆续宣布投资200美元在美国亚利桑那州建造两座先进制程晶圆厂、200亿美元在美国俄亥俄州建造两座先进制程晶圆厂、30亿美元扩建美国俄勒冈州D1X 晶圆厂、未来10年在欧洲投资800亿欧元(包括投资170亿欧元在德国马德堡建两座先进制程晶圆厂;投资约120亿欧元,将爱尔兰莱克斯利普的晶圆厂的制造空间扩大一倍)等。

今年2月15日,英特尔还宣布以每股53美元的现金收购全球第十大晶圆代工厂——高塔半导体,交易总价值约为54亿美元。英特尔称,此收购大力推进了英特尔的IDM2.0战略,进一步扩大英特尔的制造产能、全球布局及技术组合,以满足前所未有的行业需求。

在先进制程工艺进展方面,去年7月,英特尔就宣布将在2024年上半年量产Intel 20A工艺,并于2025年量产Intel 18A工艺。

随后在今年3月,摩根士丹利投资者大会上,英特尔CEO基辛格回应称,对英特尔IDM 2.0 战略计划非常有信心,且目前英特尔先进制程进展皆超过预期。基辛格强调,Intel 7 制程进入量产并开始增加产能。接下来四代先进制程是由两个团队同时进行研发,一个是负责Intel 4 及改良版Intel 3 制程,另一个团队负责Intel 20A 及18A 制程。根据规划Intel 20A依旧会在2024年上半年量产,而Intel 18A 制程将提前半年在2024年下半年量产。

由于台积电和三星的2nm计划的量产时间都是在2025年,因此,英特尔有望在2024年在先进制程工艺超越台积电和三星。

值得注意的是,去年英特尔就已宣布2024年上半年量产的Intel 20A工艺,将与高通达成合作。今年3月,基辛格也对外表示,未来最先进的工艺都会提供晶圆代工服务,其中Intel 3、Intel 18A 制程都已经找到客户,但具体名单未透露。

根据英特尔对投资人公布的统计数据显示,今年一季度英特尔的晶圆代工业务营收年增175%,是旗下主要业务中,成长幅度最惊人的业务,主要来自思科、亚马逊等30多家客户的订单。

显然,英特尔入局晶圆代工市场,将为本就竞争激烈的先进制程晶圆代工市场带来了新的竞争,台积电、三星也将面临新的挑战。

热图推荐

Copyright @ 2008-2017 news.baoshe.net All Rights Reserved 报社网 版权所有 京ICP备12018864号-10

联系我们:291 32 36@qq.com

营业执照公示信息